From dc8eb0c8f0b842503da51a73dec2a7d9487f3047 Mon Sep 17 00:00:00 2001
From: Chizi123 <joelgrun@gmail.com>
Date: Sat, 18 May 2019 13:22:03 +0000
Subject: [PATCH] removed EXWM experiment and made verilog mode relative path

---
 config.org |   35 +++++++++++++++++++++++------------
 1 files changed, 23 insertions(+), 12 deletions(-)

diff --git a/config.org b/config.org
index 5b3a1c1..3d6c283 100644
--- a/config.org
+++ b/config.org
@@ -1,5 +1,5 @@
 #+TITLE: My Emacs configuration
-#  LocalWords:  poppler mingw emacs eq nt gnuplot setenv mapconcat el cond minibuffer pdf color Smartparens smartparens yas aindent whitespace eldoc ielm ibuffer hippie pscp pos Spaceline spaceline powerline spacemacs seperator dir Yasnippet yasnippet flycheck magit fullscreen CEDET askifnotset semanticdb EDE ede gdb srefactor analyzer eval cdb autosetup ghostscript math unicode reftex bibtex TeXcount texcount str latin rkt PlantUML plantuml autoload alist matlab verilog ds vh src fontify natively fortran dvipng plist xcolor
+#  LocalWords:  poppler mingw emacs eq nt gnuplot setenv mapconcat el cond minibuffer pdf color Smartparens smartparens yas aindent whitespace eldoc ielm ibuffer hippie pscp pos Spaceline spaceline powerline spacemacs seperator dir Yasnippet yasnippet flycheck magit fullscreen CEDET askifnotset semanticdb EDE ede gdb srefactor analyzer eval cdb autosetup ghostscript math unicode reftex bibtex TeXcount texcount str latin rkt PlantUML plantuml autoload alist matlab verilog ds vh src fontify natively fortran dvipng plist xcolor EXWM
 
 * Windows dependencies
 Dependencies needed for Aspell, poppler PDF-tools, compilers and ghost-script provided by mingw64
@@ -17,20 +17,30 @@
 #+BEGIN_SRC emacs-lisp
   (setq emacs-theme 'zenburn)
 
+  (defun disable-all-themes ()
+    (dolist (i custom-enabled-themes)
+      (disable-theme i)))
+
   (cond ((eq emacs-theme 'zenburn)
          (use-package zenburn-theme
            :ensure t
+           :init
+           (disable-all-themes)
            :config
            (load-theme 'zenburn t)))
         ((eq emacs-theme 'doom-one)
          (use-package doom-themes
            :ensure t
+           :init
+           (disable-all-themes)
            :config
            (setq doom-themes-enable-bolt t
                  doom-themes-enable-italic t)
            (load-theme 'doom-one t)
            (doom-themes-visual-bell-config)
-           (doom-themes-org-config))))
+           (doom-themes-org-config)))
+        ((eq emacs-theme 'none)
+         (disable-all-themes)))
 #+END_SRC
 
 ** Default font
@@ -452,15 +462,16 @@
 ** Spaceline
 A little easier to read than the default emacs mode line
 #+BEGIN_SRC emacs-lisp
-  (use-package spaceline
-    :ensure t
-    :config
-    (require 'spaceline-config)
-    (setq spaceline-buffer-encoding-abbrev-p t)
-    (setq spaceline-line-column-p t)
-    (setq spaceline-line-p t)
-    (setq powerline-default-separator (quote arrow))
-    (spaceline-spacemacs-theme))
+    (use-package spaceline
+      :ensure t
+      :config
+      (require 'spaceline-config)
+      (setq spaceline-buffer-encoding-abbrev-p t)
+      (setq spaceline-line-column-p t)
+      (setq spaceline-line-p t)
+      (setq powerline-default-separator (quote arrow))
+      (spaceline-spacemacs-theme)
+      (spaceline-helm-mode))
 #+END_SRC
 
 ** No separator
@@ -796,7 +807,7 @@
 #+BEGIN_SRC emacs-lisp
   (defun get-verilog-latest()
     (interactive)
-    (url-copy-file "https://www.veripool.org/ftp/verilog-mode.el" "c:/Users/joelg/.emacs.d/elpa/verilog-mode/verilog-mode.el" 1))
+    (url-copy-file "https://www.veripool.org/ftp/verilog-mode.el" "~/.emacs.d/elpa/verilog-mode/verilog-mode.el" 1))
 #+END_SRC
 
 **** Integrate into emacs

--
Gitblit v1.9.3